8 Commits

Author SHA1 Message Date
b00650f91b (WIP) lab-08: High Z for register file READ=0 2024-10-21 20:25:05 -07:00
a125ae533b lab-08: gate level model for 32x32-bit register file
Gate level implementation for the following components:
- DECODER_5x32
- MUX32_32x1
- REGISTER_FILE_32x32

Additional tests added in register file testbench.
2024-10-19 18:32:08 -07:00
2d6ec06741 (WIP) lab-08: Register File 2024-10-19 17:23:04 -07:00
7e4a63e155 (WIP) lab-08: Decoder_5x32, Mux32_32x1 2024-10-19 16:51:30 -07:00
41ecb62082 lab-07: gate level model for 32-bit register
Gate level implementation for the following components:
- SR_LATCH
- D_LATCH
- D_FF
- REG1
- REG32
2024-10-19 16:05:17 -07:00
a110f7c042 (WIP): REG1 2024-10-19 15:54:51 -07:00
7c0645eaa1 (WIP): D Latch and D FlipFlop 2024-10-19 15:47:35 -07:00
d217faf166 (WIP): SR Latch 2024-10-19 15:20:23 -07:00
4 changed files with 129 additions and 15 deletions

View File

@@ -68,17 +68,19 @@ no_of_pass = 0;
// Write cycle // Write cycle
for(i=0;i<32; i = i + 1) for(i=0;i<32; i = i + 1)
begin begin
#10 DATA_REG=i; READ=1'b0; WRITE=1'b1; ADDR_W = i; #10 DATA_REG = i * 10; READ=1'b0; WRITE=1'b1; ADDR_W = i;
end end
#5 READ=1'b0; WRITE=1'b0; #5 READ=1'b0; WRITE=1'b0;
// test of write data // test of write data
for(i=0;i<32; i = i + 1) for(i=0;i<32; i = i + 1)
begin begin
#5 READ=1'b1; WRITE=1'b0; ADDR_R1 = i; ADDR_R2 = i; #5 READ=1'b1; WRITE=1'b0; ADDR_R1 = i; ADDR_R2 = i % 7;
#5 no_of_test = no_of_test + 1; #5 no_of_test = no_of_test + 1;
if (DATA_R1 !== i) if (DATA_R1 !== i * 10)
$write("[TEST @ %0dns] Read %1b, Write %1b, expecting %8h, got %8h [FAILED]\n", $time, READ, WRITE, i, DATA_R1); $write("[TEST @ %0dns] Read %1b, Write %1b, expecting %8h, got %8h [FAILED]\n", $time, READ, WRITE, i * 10, DATA_R1);
else if (DATA_R2 !== (i % 7) * 10)
$write("[TEST @ %0dns] Read %1b, Write %1b, expecting %8h, got %8h [FAILED]\n", $time, READ, WRITE, (i % 7) * 10, DATA_R2);
else else
no_of_pass = no_of_pass + 1; no_of_pass = no_of_pass + 1;
result[ridx] = DATA_R1; ridx=ridx+1; result[ridx] = DATA_R1; ridx=ridx+1;
@@ -86,6 +88,23 @@ begin
end end
// Testing read and write at the same time
for(i=2;i<16; i = i + 1)
begin
#5 DATA_REG = 20; READ=1'b1; WRITE=1'b1; ADDR_W = i + 1; ADDR_R1 = i; ADDR_R2 = i * 2;
#5 no_of_test = no_of_test + 1;
if (DATA_R1 !== 20)
$write("[TEST @ %0dns] Read %1b, Write %1b, expecting %8h, got %8h [FAILED]\n", $time, READ, WRITE, 20, DATA_R1);
else if (DATA_R2 !== i * 20)
$write("[TEST @ %0dns] Read %1b, Write %1b, expecting %8h, got %8h [FAILED]\n", $time, READ, WRITE, i * 20, DATA_R2);
else
no_of_pass = no_of_pass + 1;
result[ridx] = DATA_R1; ridx=ridx+1;
result[ridx] = DATA_R1; ridx=ridx+1;
end
// TODO: Read and write from the same address at the same time?
#5 READ=1'b0; WRITE=1'b0; // No op #5 READ=1'b0; WRITE=1'b0; // No op

72
logic.v
View File

@@ -43,7 +43,12 @@ input CLK, LOAD;
input [31:0] D; input [31:0] D;
input RESET; input RESET;
// TBD genvar i;
generate
for (i = 0; i < 32; i = i + 1) begin : reg_gen
REG1 r(Q[i], _, D[i], LOAD, CLK, 1'b1, RESET);
end
endgenerate
endmodule endmodule
@@ -56,7 +61,10 @@ input D, C, L;
input nP, nR; input nP, nR;
output Q,Qbar; output Q,Qbar;
// TBD wire D_out;
MUX1_2x1 data(D_out, Q, D, L);
D_FF dff(Q, Qbar, D_out, C, nP, nR);
endmodule endmodule
@@ -69,7 +77,11 @@ input D, C;
input nP, nR; input nP, nR;
output Q,Qbar; output Q,Qbar;
// TBD wire Cbar, Y, Ybar;
not C_inv(Cbar, C);
D_LATCH dlatch(Y, Ybar, D, Cbar, nP, nR);
SR_LATCH srlatch(Q, Qbar, Y, Ybar, C, nP, nR);
endmodule endmodule
@@ -82,7 +94,10 @@ input D, C;
input nP, nR; input nP, nR;
output Q,Qbar; output Q,Qbar;
// TBD wire Dbar;
not D_inv(Dbar, D);
SR_LATCH latch(Q, Qbar, D, Dbar, C, nP, nR);
endmodule endmodule
@@ -95,7 +110,13 @@ input S, R, C;
input nP, nR; input nP, nR;
output Q,Qbar; output Q,Qbar;
// TBD wire r1, r2;
nand n1(r1, C, S);
nand n2(r2, C, R);
nand n3(Q, nP, r1, Qbar);
nand n4(Qbar, nR, r2, Q);
endmodule endmodule
@@ -106,7 +127,19 @@ output [31:0] D;
// input // input
input [4:0] I; input [4:0] I;
// TBD wire [15:0] half;
wire I_not;
not I_inv(I_not, I[4]);
DECODER_4x16 d(half, I[3:0]);
genvar i;
generate
for (i = 0; i < 16; i = i + 1) begin : d5_gen
and msb0(D[i], I_not, half[i]);
and msb1(D[i + 16], I[4], half[i]);
end
endgenerate
endmodule endmodule
@@ -117,7 +150,19 @@ output [15:0] D;
// input // input
input [3:0] I; input [3:0] I;
// TBD wire [7:0] half;
wire I_not;
not I_inv(I_not, I[3]);
DECODER_3x8 d(half, I[2:0]);
genvar i;
generate
for (i = 0; i < 8; i = i + 1) begin : d4_gen
and msb0(D[i], I_not, half[i]);
and msb1(D[i + 8], I[3], half[i]);
end
endgenerate
endmodule endmodule
@@ -129,8 +174,19 @@ output [7:0] D;
// input // input
input [2:0] I; input [2:0] I;
//TBD wire [3:0] half;
wire I_not;
not I_inv(I_not, I[2]);
DECODER_2x4 d(half, I[1:0]);
genvar i;
generate
for (i = 0; i < 4; i = i + 1) begin : d3_gen
and msb0(D[i], I_not, half[i]);
and msb1(D[i + 4], I[2], half[i]);
end
endgenerate
endmodule endmodule

11
mux.v
View File

@@ -27,7 +27,16 @@ input [31:0] I16, I17, I18, I19, I20, I21, I22, I23;
input [31:0] I24, I25, I26, I27, I28, I29, I30, I31; input [31:0] I24, I25, I26, I27, I28, I29, I30, I31;
input [4:0] S; input [4:0] S;
// TBD wire [31:0] x0, x1;
MUX32_16x1 mux16_0(x0, I0, I1, I2, I3, I4, I5, I6, I7,
I8, I9, I10, I11, I12, I13, I14, I15,
S[3:0]
);
MUX32_16x1 mux16_1(x1, I16, I17, I18, I19, I20, I21, I22, I23,
I24, I25, I26, I27, I28, I29, I30, I31,
S[3:0]
);
MUX32_2x1 out(Y, x0, x1, S[4]);
endmodule endmodule

View File

@@ -41,6 +41,36 @@ input [`REG_ADDR_INDEX_LIMIT:0] ADDR_R1, ADDR_R2, ADDR_W;
output [`DATA_INDEX_LIMIT:0] DATA_R1; output [`DATA_INDEX_LIMIT:0] DATA_R1;
output [`DATA_INDEX_LIMIT:0] DATA_R2; output [`DATA_INDEX_LIMIT:0] DATA_R2;
// TBD // module REG32(Q, D, LOAD, CLK, RESET);
// module DECODER_5x32(D,I);
// module MUX32_32x1(Y, I0, I1, I2, I3, I4, I5, I6, I7,
// I8, I9, I10, I11, I12, I13, I14, I15,
// I16, I17, I18, I19, I20, I21, I22, I23,
// I24, I25, I26, I27, I28, I29, I30, I31, S);
wire [31:0] Q [31:0];
wire [31:0] r_write;
DECODER_5x32 d_write(r_write, ADDR_W);
REG32 r[31:0] (Q, DATA_W, r_write, CLK, RST);
wire [31:0] r1, r2;
MUX32_32x1 mux_r1(r1, Q[0], Q[1], Q[2], Q[3], Q[4], Q[5], Q[6], Q[7],
Q[8], Q[9], Q[10], Q[11], Q[12], Q[13], Q[14], Q[15],
Q[16], Q[17], Q[18], Q[19], Q[20], Q[21], Q[22], Q[23],
Q[24], Q[25], Q[26], Q[27], Q[28], Q[29], Q[30], Q[31],
ADDR_R1
);
MUX32_32x1 mux_r2(r2, Q[0], Q[1], Q[2], Q[3], Q[4], Q[5], Q[6], Q[7],
Q[8], Q[9], Q[10], Q[11], Q[12], Q[13], Q[14], Q[15],
Q[16], Q[17], Q[18], Q[19], Q[20], Q[21], Q[22], Q[23],
Q[24], Q[25], Q[26], Q[27], Q[28], Q[29], Q[30], Q[31],
ADDR_R2
);
// TODO: Figure out this high Z thing and add a test for it.
MUX32_2x1 mux_out1(DATA_R1, {32{1'bZ}}, r1, READ);
MUX32_2x1 mux_out2(DATA_R2, {32{1'bZ}}, r2, READ);
endmodule endmodule